Date of Award

2015

Degree Type

Thesis

Degree Name

Master of Science in Electrical Engineering (MSEE)

Department

Electrical, Computer, and Biomedical Engineering

First Advisor

Godi Fischer

Abstract

This thesis presents two methods of analyzing the effectiveness of a prototype differential phase-shift keying (DPSK) detection circuit. The first method is to make modifications to the existing hardware to reliably output and record the cross-correlation values of the DPSK detection process. The second method is to write a MATLAB detection algorithm which accurately simulates the detection results of the hardware system without the need of any electronics. These two systems were tested and verified with a bench test using computer generated DPSK signals. The hardware system was tested using real acoustic data from shallow and deep water at-sea tests to determine the effectiveness of the DPSK detection circuit in different ocean environments. The hydrophone signals from the tests were recorded so that the cross-correlation values could be verified using the MATLAB detector. As a result of this study, these two systems provided more insight into how well the DPSK detection prototype works and helped to identify ways of improving the detection reliability and overall performance of the prototype DPSK detection circuit.

Comments

This thesis presents two methods of analyzing the effectiveness of a prototype differential phase-shift keying (DPSK) detection circuit. The first method is to make modifications to the existing hardware to reliably output and record the cross-correlation values of the DPSK detection process. The second method is to write a MATLAB detection algorithm which accurately simulates the detection results of the hardware system without the need of any electronics. These two systems were tested and verified with a bench test using computer generated DPSK signals. The hardware system was tested using real acoustic data from shallow and deep water at-sea tests to determine the effectiveness of the DPSK detection circuit in different ocean environments. The hydrophone signals from the tests were recorded so that the cross-correlation values could be verified using the MATLAB detector. As a result of this study, these two systems provided more insight into how well the DPSK detection prototype works and helped to identify ways of improving the detection reliability and overall performance of the prototype DPSK detection circuit.

Available for download on Sunday, May 03, 2026

Share

COinS